Включите файл заголовка Verilog, используя файл Do для Modelsim.

В файле system-verilog, который мне дали, есть include для файла заголовка Verilog (.vh). Когда я вручную запускаю симуляцию в Modelsim, я обычно захожу в свойства файла (вкладка «Verilog & SystemVerilog») и включаю каталог, в котором хранится файл заголовка. Как мне сделать ссылку на него в файле .do, который я пытаюсь создать?


person Marmstrong    schedule 20.08.2015    source источник


Ответы (1)


Предполагая, что у вас есть файл, включенный в макрос `include; вы должны иметь возможность использовать аргумент +incdir+<path> для команды компиляции; где путь — это путь к каталогу, содержащему файлы .vh или .svh.

person Unn    schedule 20.08.2015